Search Results

Search found 41038 results on 1642 pages for 'personal software process'.

Page 420/1642 | < Previous Page | 416 417 418 419 420 421 422 423 424 425 426 427  | Next Page >

  • licensing a ' Sharepoint bug-Fix' to reserve it

    - by Remon
    My friend recently finished hes personal work on a project that fixes a known bug in sharepoint 2010, the guy spent significant time\effort to reach this point He consulted me on how to license this fix and asked if this possible and is it accepted for a such type of solutions! actually I don't have any experiences in this matter especially that he is willing to start selling the fix and don't want to be lost in the market P.S.: hes current location is U.A.E TIA.

    Read the article

  • Ubuntu 10.04: Where Ubuntu goes from here

    <b>Cyber Cynic:</b> "The new Ubuntu release is almost here and I like the Ubuntu 10.04 desktop a lot. As a Linux expert, it's a little too simple for my personal tastes, but it's the best beginner Linux out of the box I've ever seen."

    Read the article

  • What library to use to create a simple port scanner?

    - by durje
    I need advise to create a simple port-scanner, who will need to detect if some specific devices are connected to the network from theire IP/MAC address. I am working on windows 7 and can use preferably C++ Builder 2010 Embarcadero, or java or Qt. The library have to be under a public domain or equivalent, as my software is a proprietary software. What library would you advice? Do you know any free software that I could start from, or any examples? What about using Indy Sockets or Synapse TCP/IP Librarys? Thanks in advance.

    Read the article

  • Can anyone help me with this VHDL code (currently malfunctioning)?

    - by xx77aBs
    This code should be (and is) very simple, and I don't know what I am doing wrong. Here is description of what it should do: It should display a number on one 7-segment display. That number should be increased by one every time someone presses the push button. There is also reset button which sets the number to 0. That's it. Here is VHDL code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity PWM is Port ( cp_in : in STD_LOGIC; inc : in STD_LOGIC; rst: in std_logic; AN : out STD_LOGIC_VECTOR (3 downto 0); segments : out STD_LOGIC_VECTOR (6 downto 0)); end PWM; architecture Behavioral of PWM is signal cp: std_logic; signal CurrentPWMState: integer range 0 to 10; signal inco: std_logic; signal temp: std_logic_vector (3 downto 0); begin --cp = 100 Hz counter: entity djelitelj generic map (CountTo => 250000) port map (cp_in, cp); debounce: entity debounce port map (inc, cp, inco); temp <= conv_std_logic_vector(CurrentPWMState, 4); ss: entity decoder7seg port map (temp, segments); process (inco, rst) begin if inco = '1' then CurrentPWMState <= CurrentPWMState + 1; elsif rst='1' then CurrentPWMState <= 0; end if; end process; AN <= "1110"; end Behavioral; Entity djelitelj (the counter used to divide 50MHz clock): library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity PWM is Port ( cp_in : in STD_LOGIC; inc : in STD_LOGIC; rst: in std_logic; AN : out STD_LOGIC_VECTOR (3 downto 0); segments : out STD_LOGIC_VECTOR (6 downto 0)); end PWM; architecture Behavioral of PWM is signal cp: std_logic; signal CurrentPWMState: integer range 0 to 10; signal inco: std_logic; signal temp: std_logic_vector (3 downto 0); begin --cp = 100 Hz counter: entity djelitelj generic map (CountTo => 250000) port map (cp_in, cp); debounce: entity debounce port map (inc, cp, inco); temp <= conv_std_logic_vector(CurrentPWMState, 4); ss: entity decoder7seg port map (temp, segments); process (inco, rst) begin if inco = '1' then CurrentPWMState <= CurrentPWMState + 1; elsif rst='1' then CurrentPWMState <= 0; end if; end process; AN <= "1110"; end Behavioral; Debouncing entity: library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; ENTITY debounce IS PORT(pb, clock_100Hz : IN STD_LOGIC; pb_debounced : OUT STD_LOGIC); END debounce; ARCHITECTURE a OF debounce IS SIGNAL SHIFT_PB : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN -- Debounce Button: Filters out mechanical switch bounce for around 40Ms. -- Debounce clock should be approximately 10ms process begin wait until (clock_100Hz'EVENT) AND (clock_100Hz = '1'); SHIFT_PB(2 Downto 0) <= SHIFT_PB(3 Downto 1); SHIFT_PB(3) <= NOT PB; If SHIFT_PB(3 Downto 0)="0000" THEN PB_DEBOUNCED <= '1'; ELSE PB_DEBOUNCED <= '0'; End if; end process; end a; And here is BCD to 7-segment decoder: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity decoder7seg is port ( bcd: in std_logic_vector (3 downto 0); segm: out std_logic_vector (6 downto 0)); end decoder7seg; architecture Behavioral of decoder7seg is begin with bcd select segm<= "0000001" when "0000", -- 0 "1001111" when "0001", -- 1 "0010010" when "0010", -- 2 "0000110" when "0011", -- 3 "1001100" when "0100", -- 4 "0100100" when "0101", -- 5 "0100000" when "0110", -- 6 "0001111" when "0111", -- 7 "0000000" when "1000", -- 8 "0000100" when "1001", -- 9 "1111110" when others; -- just - character end Behavioral; Does anyone see where I made my mistake(s) ? I've tried that design on Spartan-3 Started board and it isn't working ... Every time I press the push button, I get crazy (random) values. The reset button is working properly. Thanks !!!!

    Read the article

  • Why Do You Need SSL Certificate

    SSL (Secure Sockets Layer) is an encrypting modus operandi that ensures the en route security of the personal details processed by the browser to the server. We all know that online shopping is prefe... [Author: Jack Melde - Computers and Internet - May 01, 2010]

    Read the article

  • Behaviour of System.Timer when Interval property changed

    - by lowlyintern
    I have a System.Timer setup to trigger an event every day at 2AM. If the process the timer starts fails then I want the timer to be reset to run every 15 minutes until the process completes succesfully. // this is how the timer is set up. // this is working correctly. double startTime = milliseconds_of_hour_to_start. Timer = new System.Timers.Timer( startTime); Here is the code to reset the timer on success or failure of the event handler. NOTE the timer is not being stopped, just the Interval property is being reset. if (ProcessSuccess) { Timer.Interval = TimeSpan.FromHours(24).TotalMilliseconds; } else { Timer.Interval = TimeSpan.FromMinutes(15).TotalMilliseconds; } My question is this, if the process fails say 4 times, then succeeds will the Timer now be running at around 3AM? i.e. after failing will the original start time of 2AM be advanced by 15 minutes?

    Read the article

  • Various Methods of Building a Website - Things to Consider Before Getting Started

    Building a website doesn't have to be difficult. There are many different types of websites and various methods of making them. A site can be a simple blog, personal web page, online store, a professional, business website, and so forth. Read on to learn about all the different ways you can make a site. Even if you're completely new to web development, you can try some of these methods.

    Read the article

  • iptables rules for desktop computers

    <b>503 Service Unavailable:</b> "Today I will show you the iptables rules I set on my main personal computer, with detailed comments about why I came to use these rules after several years of Linux desktop usage."

    Read the article

  • How do I protect python code?

    - by Jordfräs
    I am developing a piece of software in python that will be distributed to my employer's customers. My employer wants to limit the usage of the software with a time restricted license file. If we distribute the .py files or even .pyc files it will be easy to (decompile), and remove the code that checks the license file. Another aspect is that my employer do not want the code to be read by our customers, fearing that the code may be stolen or at least the "novel ideas". Is there a good way to handle this problem? Preferably with an off-the-shelf solution. The software will run on Linux systems (so I don't think py2exe will do the trick)

    Read the article

  • Multitrack sound recording - Downloadable control?

    - by Kenny Bones
    Hi, I was just wondering if anyone knows of any free Open Source software wich demonstrates multitrack recording support? I was thinking of something in the lines of this: http://www.soft411.com/company/NCH-Software/MixPad-Audio-Mixer%5Fscreenshot.html I want to include multitrack support in my own software, for personal use and I don't even know where to start to be able to do that. So preferrably a control or something would have been great. I could also be able to pay for something like this, as long as I'd be able to include it in my own VB.NET solution. Any replies are much appreciated! :) Edit: What's with the vote down? I was just wondering if there are any components I can buy and download to use in my own project..

    Read the article

  • How to send link/url to confirm user registration and/or password reset/recovery using ASP.Net MVC2?

    - by Mark_DVM_Software
    Hi, I see it all over the place, yet, I could not find one example about this (maybe I don't know the proper wording), I am trying to build using ASP .Net MVC2 (but any example on just ASP .Net would be also helpful) a process that will send a link to the user at the end of the registration process to let him confirm his registration. Also, a similar process to let the user to reset his password, with the typical "forgot password" and send a link/url so that the user can click and type a new password. Can someone help me to either find an example or at least to let me know how to "google" it? Thanks, Mark

    Read the article

  • What's the Use of Robots Text File?

    Fundamentally, we like the content of our websites to be indexed immediately so that traffic will be driven and search engine ranking will be improved. But in some situations, a file or online tool is used to hide the pages and personal files we have in our website.

    Read the article

  • Exporting ActiveRecord objects into POROs

    - by Lucas d. Prim
    Hello, I'm developing a "script generator" to automatize some processes at work. It has a Rails application running on a server that stores all data needed to make the script and generates the script itself at the end of the process. The problem I am having is how to export the data from the ActiveRecord format to Plain Old Ruby Objects (POROs) so I can deal with them in my script with no database support and a pure-ruby implementation. I tought about YAML, CSV or something like this to export the data but it would be a painful process to update these structures if the process changes. Is there a simpler way? Ty!

    Read the article

  • TCP 30 small packets per second polutes connection with server

    - by Denis Ermolin
    I'm testing connection with flash client and cloud server(boost::asio for software) over TCP connection. My connection with server already is really poor - 120 ms ping in average. I found when i start to send packets with 2 bytes size (without tcp header) with speed 30 packets/s ping grow to 170-200 average. I think that it's really bad and my bad connection and bad cloud provider is reason for this high ping without any load. What do you think? (I tested my software and can compute about 50k packets/s so software is not a problem).

    Read the article

  • WinXP Parallels Guest with OS X host -- communication between the two?

    - by Justin
    The setup: Windows XP guest OS running inside Parallels 5 on a OS X 10.6.2 host. I have a win32 application that runs in windows that communicates with other programs by sending out keystrokes to the program in focus. I can run this software inside parallels just fine, but I need a way for it to communicate (via keystrokes) with native OS X applications. For instance, the windows software sends out a continuous stream of a's and w's, based on the program input from an external source. On the other side, I have a Mac version of VLC media player with hotkeys set up for a and w for the two functions I would like to manipulate from the windows software. How can I set up a link between the guest and host OS's with Parallels that lets a windows program send keystrokes to a mac program?

    Read the article

  • Parent Thread exiting before Child Threads [python]

    - by crgwbr
    I'm using Python in a webapp (CGI for testing, FastCGI for production) that needs to send an occasional email (when a user registers or something else important happens). Since communicating with an SMTP server takes a long time, I'd like to spawn a thread for the mail function so that the rest of the app can finish up the request without waiting for the email to finish sending. I tried using thread.start_new(func, (args)), but the Parent return's and exits before the sending is complete, thereby killing the sending process before it does anything useful. Is there anyway to keep the process alive long enough for the child process to finish?

    Read the article

  • Understanding the Business of Web Development

    Internet reaches out to a wide audience and is used to publish personal and professional information with some engaging user experience. Web development or setting up a website or enthralling web application and hosting it on the Internet has become simpler through the use of cutting edge technology. In fact the technology is meant to be used by the web developers as well as the end users for improving the overall web experience.

    Read the article

  • Controlling new features in Spring/Apache tomcat

    - by HeretoLearn
    Is there a good way to manage roll out of new software in Spring/Tomcat configuration. The problem I am trying to address is being able to rollback newly deployed software provided there are no hard dependencies on other moving pieces. For example changed the guts of an algorithm and now want to deploy the new software with the new change off by default and then turning it on on one of the web servers. An obvious way is to build a session object which has a map of key-value pairs(property,bool) which is populated from a db on a session startup and then the value is persisted for that session. This is so that if the value is reverted back existing sessions which started with the original value retain the original value and giving application consistency. Is there a more obvious inbuilt mechanism to do this?

    Read the article

  • Can I use MPI_Probe to probe messsages sent by any collective operation?

    - by takwing
    In my code I have a server process repeatedly probing for incoming messages, which come in two types. One type of the two will be sent once by each process to give hint to the server process about its termination. I was wondering if it is valid to use MPI_Broadcast to broadcast these termination messages and use MPI_Probe to probe their arrivals. I tried using this combination but it failed. This failure might have been caused by some other things. So I would like anyone who knows about this to confirm. Cheers.

    Read the article

  • Referenced assembly won't load in new thread on IIS 7

    - by DanielC
    I have a process in which a user uploads a file to a web site where the file is then processed and uploaded into the database. The process of validating the file could take several minutes so as soon as the file is uploaded I create a new thread and I do my processing on this second thread. This works great on my local machine but doesn't work at all on my IIS 7 test server. After some investigating I found the problem is that the process is trying to load a reference to Castle and it can't find the DLL. I have a copy of Castle DLLs in my bin and it works elsewhere in my app. I ran Fuslog and discovered that it is trying to load castle from the wrong location. It is trying to load from c:/windows/system32/inetsrv/. It appears that under IIS 7 the second thread is executing in a different context or something. So the question is what can I do to get it to find Castle in the application BIN folder?

    Read the article

  • Gridview inside UpdatePanel refresh

    - by Attilah
    I use a GridView to represent data from a table in my DB. the GridView has some template fields whose content are determined before displaying the Grid ( I use the RowDataBound event to determine content of template fields before displaying the GridView). The page displays a list of records from the table records and then, the recording process starts. after the process is over, the template fields should be updated. how do I automatically refresh the GridView after the process is finished ? it should be noted that the GridView is contained within an control and that I continuously poll the server using a Timer control that executes "GridView1.DataBind()" at the server level every 60 seconds. since the GridView is inside an UpdatePanel, calling DataBind() method on it doesn't seem to call the RowDataBound event. How can I solve this ?

    Read the article

  • What's the Use of Robots Text File?

    Fundamentally, we like the content of our websites to be indexed immediately so that traffic will be driven and search engine ranking will be improved. But in some situations, a file or online tool is used to hide the pages and personal files we have in our website.

    Read the article

  • A company that had a successful product but went bust for not innovating?

    - by Dan
    At the company that I work we have a successful software product that did well but is now obsolete and unmaintainable. I am trying to explain that you need to innovate and replace this product with new offering in order to survive. I am looking for some good examples of companies that made the mistake that we are close to making - relying on one successful product way over it's normal lifetime, so I could use it as illustration when making an argument. These products need not be software, emblematic cases that illustrate well this situation but where product was not software are also appreciated.

    Read the article

< Previous Page | 416 417 418 419 420 421 422 423 424 425 426 427  | Next Page >