Search Results

Search found 22083 results on 884 pages for 'display templates'.

Page 667/884 | < Previous Page | 663 664 665 666 667 668 669 670 671 672 673 674  | Next Page >

  • Why isn't IE displaying this alert()?

    - by George Edison
    I have the following piece of code: // setup the AJAX request var pageRequest = false; if(window.XMLHttpRequest) pageRequest = new XMLHttpRequest(); else if(window.ActiveXObject) pageRequest = new ActiveXObject("Microsoft.XMLHTTP"); // callback pageRequest.onreadystatechange = function() { alert('pageRequest.readyState: ' + pageRequest.readyState + '\npageRequest.status: ' + pageRequest.status); } pageRequest.open('POST','ajax.php',true); // q_str contains something like 'data=value...' pageRequest.setRequestHeader("Content-type", "application/x-www-form-urlencoded"); pageRequest.setRequestHeader("Content-length", q_str.length); pageRequest.setRequestHeader("Connection", "close"); pageRequest.send(q_str); This works fine in Chrome, but IE chokes on it, spitting out an "Unspecified error." and it points to the line with the alert() in it. Why can't it display the alert?

    Read the article

  • How to get a 3D picture in an iphone app?

    - by wolverine
    In an application, i saw that they used to display pictures of vehicles. But what was amazing was when we touch and swipe in that picture, it rotates in 3d way left and right. And from the front view we can rotate and get to see its back view also. It is a very good feature and i was trying to replicate it. But couldnt get an idea of how and where to start. My doubts are Whats the actual format of the thing, it surely isn't a picture. How do they get to rotate it? Could someone give me an idea where i should start or where I should look upon?

    Read the article

  • Toggled() text input field not working in IE6 in Jquery 1.3.2

    - by Michal
    In IE6 after showing() or toggling() an initially hidden text input field it is impossible to enter any text in the box. It is also impossible to focus() on the element. I am using jquery 1.3.2 Here is the code... Any ideas? $(document).ready(function(){ $(".hide").click(function(){ $(".form").toggle(); }) }) and HTML <form> <div class="hide"> Show </div> <div class="form" style="display:none"> <input type="text" name="crap"> </div> </form>

    Read the article

  • Getting started with a facebook application

    - by Cyclone
    I'm really new to Facebook application development, and I'm quite confused about application permissions and why the php sdk is so limiting and has so few precoded functions. First off, how do I check if a user has set proper permissions for my application, and if not, display that standard dialog immediately instead of using FBML and making them click the link? Secondly, how do I publish to a user's stream with the php apis? Finally, are there any good tutorial sites for making a php based canvas application that use the latest versions of all the sdks? Thank you for your help! I really don't see why so much of this is Javascript, it would really make much more sense to me to have things like: if(!$facebook->appHasPerm('publish_stream'){$facebook->showPermDialog('publish_stream');} I'd feel like I have much more control over the application if it worked like that.

    Read the article

  • jsp in javascript

    - by Pavalesh
    hi I've created a jsp page. In that when i select 1 check-box or both check-box or none, the corresponding text-boxes and list-box must be displayed in the same page. For that i tried of calling a javascipt function when i click the checkbox. The javascript function contain code to display the textboxes. But it didn't work. Since I'm doing this project in struts, I don't know how to get check-box value. And calling of JavaScript function works. But didn't enter into jsp code in JavaScript function.

    Read the article

  • Jquery Validation Central Message Many Errors

    - by Iamjon
    Hi everyone, I have a form that is being valdated with the Jquery Validation Plugin. I have managed to get a centeral message "Please Recheck the form", and to have the input focus on the first error. To get this, I had to override the default message of each of the errors. I was wondering if anyone could help me figure out how I can have it display the error message associated with the first error input instead of a general error message. Here is the code: $("#Help-A-Noobie-Form" ).validate({ invalidHandler: function(form, validator) { $(this).find(":input.error:first").focus(); var message = 'Please Recheck The Form' ; $("#Help-A-Noobie-Form #Message p").addClass('red').html(message); }, showErrors: function(errorMap, errorList) { this.defaultShowErrors(); }, rules: { required:true, email: {email:true}, phone: {digits:true} }, messages: { email: "", phone: "", lastname: "", firstname: "", required:"" } })

    Read the article

  • How to write back to database from Silverlight?

    - by Manoj
    Hi, I use LINQ to SQL to get data from a database and use a service contract to access it in Silverlight at the client side. I display the data in a DataGrid. Now how do I make sure that any changes in the datagrid is written back to the database? EDIT: This is the example code I implemented: http://www.silverlight.net/learn/tutorials/sqldatagrid-cs/ Here I wanted any change in the datagrid reflected back in the database. The SubmitChanges function works in the DataContext object which is: public partial class DataClasses1DataContext : System.Data.Linq.DataContext But this is not exposed in the contract and so not visible in the client side code.

    Read the article

  • Is there a reference document for OOTB SharePoint web part interfaces?

    - by Javaman59
    I want my web parts to act as providers and consumers with OOTB SharePoint web parts, including filters. To design these web parts I need to know the interface of the OOTB web parts, eg. a "Links" web part provides an IWebPartRow, with a Type, URL and Notes. I can get the information by: a web part programmed to interact generically, and display the data it receives putting the web parts on a page, and look at the available connections inspecting the DLL's (haven't tried this, because I can't find the DLL's, but I guess it's possible) It seems strange to me that there is no documentation of these interfaces. Is there a Microsoft document, or a book, which documents the OOTB web parts and filters?

    Read the article

  • schedule task with spring mvc

    - by user3586352
    I want to run the following method every specific time in spring mvc project it works fine and print first output but it doesn't access the database so it doesn't display list the method public class ScheduleService { @Autowired private UserDetailService userDetailService; public void performService() throws IOException { System.out.println("first output"); List<UserDetail> list=userDetailService.getAll(); System.out.println(list); } config file <!-- Spring's scheduling support --> <task:scheduled-tasks scheduler="taskScheduler"> <task:scheduled ref="ScheduleService" method="performService" fixed-delay="2000"/> </task:scheduled-tasks> <!-- The bean that does the actual work --> <bean id="ScheduleService" class="com.ctbllc.ctb.scheduling.ScheduleService" /> <!-- Defines a ThreadPoolTaskScheduler instance with configurable pool size. --> <task:scheduler id="taskScheduler" pool-size="1"/>

    Read the article

  • Andriod Tutorials or book recommendations?

    - by chobo2
    Hi I want to an andriod application(a port from a windows mobile phone) but I need to learn a couple things How to program for different screen sizes and resolutions (so my controls get bigger smaller or whatever) How to dynamically create controls such labels and checkboxes ( and checkbox listeners) How to create a menu How to create a context menu( a menu when you right click on the screen it pops up) how to program for landscape and portrait mode How to consume a webservice Most of what I am after is mostly the display aspect as all my logic is on a webservice so I could port all my stuff to different phones faster. So I am looking for tutorials or a book to get me up to speed to do these things

    Read the article

  • Python+suds : xsd_base64Binary type ?

    - by n1r3
    Hi, I'm trying to attach some files to a Jira using the Soap API. I have python 2.6 and SOAPpy isn't working any more, so, I'm using suds. Everything is fine except for the attachements ... I don't know how to rewrite this piece of code : http://confluence.atlassian.com/display/JIRA/Creating+a+SOAP+Client?focusedCommentId=180943#comment-180943 Any clue ? I don't know how to deal with complex type like this one : <complexType name="ArrayOf_xsd_base64Binary"> <complexContent> <restriction base="soapenc:Array"> <attribute ref="soapenc:arrayType" wsdl:arrayType="xsd:byte[][]"/> </restriction> </complexContent> </complexType> thanks a lot n.

    Read the article

  • Sorting issues in flexigrid with "json" data

    - by John Sieber
    I'm currently using flexigrid to display data in a current project, but am running into issues with its ability to properly sort certain columns that contain dates or numbers. The data is being sent from a ColdFusion CFC that selects the appropriate data and then delivers it in the proper "json" format. As the date/time stamps and other fields containing numbers are sent as "strings" they do not sort properly in the data grid. Is this a limitation of Flexigrid or am I sending the data improperly to Flexigrid? I can provide examples of my code if that is helpful.

    Read the article

  • how to run the dependecy class file in java

    - by Manu
    I have created Excel Sheet using java program.It works fine. My problem is, i have copied the .class file into other directory with the necessary jar files need to create this excel sheet, for example my .class is inside "pack" package. c:/myprogram/pack/excelprogram.class to d:/myprogram /pack/excelprogram.class /jxl.jar /ojdbc14.jar if i run the program javac pack.excelprogram it display below error Caused by: java.lang.ClassNotFoundException: jxl.format.CellFormat i have dependency jar file(jxl.jar) for this excel sheet creation.Error is displaying from that only. i have set class path for this jar file like set classpath="%classpath%";d:/myprogram/jxl.jar;d:/myprogram/ojdbc14.jar;.; even though i'm getting the same error. Please help ASAP.

    Read the article

  • Core Data strategy using in memory cache, or no core data at all?

    - by randombits
    I have a user interface where the user can check off a bunch of items from a tableview, almost like a todo list. The items are populated from a Core Data stack. I need to be able to take all of the items they're clicking through and put them into a "temporary" shopping cart. Once they're in the shopping cart, users can go through the list and remove the items, or just submit them to a server. The thing is, the selected items are temporary just like an internet based shopping cart. It's nothing something that gets persisted once the application closes. Once the view is no longer in display, I can assume that the shopping cart is safe to discard. What's the best way to approach this? Since the user is essentially clicking on instances that map back to a Core Data entity .. should I setup a different persistence store such as in memory and add that store to my managed object context?

    Read the article

  • Text indent is not working in ie7

    - by saorabh
    I am working on a website and on the top navigation bar there is a search box, I applied the following css on the search submit button #submit{background:url("img/new-search-icon.png") no-repeat scroll -1px 0 #FFFFFF; border:0 none; cursor:pointer; display:block; height:21px; padding:0; position:absolute; right:0; text-indent:-9999px; top:0; width:20px; z-index:2;} My Problem is in IE7 the text indent is not working please help me if you want to see the demo you can view it by clicking here Click here. Please help me.

    Read the article

  • Why is this CustomValidator client function not firing?

    - by xaisoft
    I have a dropdown with the Id of "BACKGROUND" and if nothing is selected or the value is other, it should fire my client side validation function, but it is not. Am I missing something? I have the following client side function: function cv26(oSrc, args) {//BACKGROUND,BG_OTHER alert("cv26"); var otherCtrl = document.getElementById("BG_OTHER"); args.IsValid = (args.Value != " ") || (otherCtrl.value.length > 0); } My Custom validator looks like this: <asp:CustomValidator ID="cv26" runat="server" ErrorMessage="26. Background is required." ControlToValidate="BACKGROUND" ClientValidationFunction="cv26" Display="Dynamic" ValidateEmptyText="true">*</asp:CustomValidator>

    Read the article

  • Django form to enter/save html to database

    - by Ian
    I'm in my first week of Django development and am working on an admin page that will let me write some quick html using TinyMCE and then save it to the database. I don't need to display this web page on the site or add it to urls.py, etc. The html snippet will be loaded from the database and used in a view function. I've read in "Practical Django Projects" how to integrate TinyMCE, so my question is more concerned with the best approach for the form itself. Specifically: 1. Is there a built-in form like flatpage that works well for this? I only need one field in the form for the html. 2. How do I save the form's text after it's entered? I created a model with a JSONField to save the html in, but I'm not clear on what to do next. Thanks.

    Read the article

  • WPF Datatemplate + ItemsControl each item uses > 1 MB Memory?

    - by Matt H.
    Does that sound right to anyone???? I have an ItemsControl that displays data from a custom object that implements iNotifyPropertyChanged. The DataTemplate consists of: Border 3 buttons 5 textboxes An ellipse A Bindable RichTextBox (custom class that inherits from RichTextBox... so I could make Document a dependency property (to support binding)) Several grids and stackpanels for layout It uses: Styles (stored in a resource dictionary higher up the tree) Styles affect: colors, thicknesses, and text properties: which are data-bound to a "settings" class that implements iNotifyPropertyChanged, so the user can change display settings That's it! So what gives? I've also noticed that when I empty and remove the ItemsControl, memory isn't freed. over 5000 instances of "CommandBindingCollection" and "WeakReference" are CREATED (using ANTS profiler). And huge number of EffectiveValueEntry objects are created too. So really, what gives!!! :-) Thanks for your insight! Management needs this project soon but in its current state, it's unreleasable.

    Read the article

  • div at bottom of page.

    - by Ted
    I have a div which I am using as a footer to display some content. I have put the style as: .pageFooter{ position:absolute; bottom:0px; width:100%; height:25px; background:#e6e6e6; } This style works well when there is not content in the body of page. But when I populate the page with content, say datagrid, the div is overlaps data in datagrid. What changes should I make to the style to let the div be at the bottom always. I am using IE* to view the pages.

    Read the article

  • [WordPress 3.1.3] Sreen option is disabled when a plugin is activated

    - by RNorbe
    I'm pretty new to wordpress. I was assigned to create a custom plugin for one of our projects here. The plugin worked as expected and there is no problem activating/deactivating it. When I was exploring the admin panel I noticed that the screen option is off. I read from a blog somewhere that deactivating the plugin one by one to check which plugin has caused this. I did just this and found out that the custom plugin I created was the cause. My question is, is there way to check what have caused this? Some log file I can look into? There is no error message or warning when I activated the plugin and it is giving the output required. This is my first plugin, any advice will be helpful. Btw, this plugin will display a comment (most recent will be shown first) in a widget and there is prev/next navigation to go through the rest of the comments. Thanks, RNorbe

    Read the article

  • symfony 1.4 embedForm not returning all embedded forms

    - by Patrick
    I have a form with multiple embedded forms and symfony is not binding all the embedded forms. The layout is a m-to-m layout: activity: id: link: id: activity_id: FOREIGN KEY to activity table other_data_id: FOREIGN KEY to other_data table other_data: id: For instance I have 7 identical embedded forms iterated at the end of each name (ie- form_1, form_2, ..., form_7). With the new and edit forms all the 7 fields display properly, but when I submit the form, the embedded forms after a certain number just aren't in the embedded forms array of the sfForm. I have two different embedded forms, the first form stops binding at 5 and the second form stops binding at 4. I've looked at the array of posted values through $request->getPostParameters(); and all the fields are there. If I manually enter the data into the database, the binding works without a problem. Any ideas would be greatly appreciated!

    Read the article

  • Can anyone help me with this VHDL code (currently malfunctioning)?

    - by xx77aBs
    This code should be (and is) very simple, and I don't know what I am doing wrong. Here is description of what it should do: It should display a number on one 7-segment display. That number should be increased by one every time someone presses the push button. There is also reset button which sets the number to 0. That's it. Here is VHDL code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity PWM is Port ( cp_in : in STD_LOGIC; inc : in STD_LOGIC; rst: in std_logic; AN : out STD_LOGIC_VECTOR (3 downto 0); segments : out STD_LOGIC_VECTOR (6 downto 0)); end PWM; architecture Behavioral of PWM is signal cp: std_logic; signal CurrentPWMState: integer range 0 to 10; signal inco: std_logic; signal temp: std_logic_vector (3 downto 0); begin --cp = 100 Hz counter: entity djelitelj generic map (CountTo => 250000) port map (cp_in, cp); debounce: entity debounce port map (inc, cp, inco); temp <= conv_std_logic_vector(CurrentPWMState, 4); ss: entity decoder7seg port map (temp, segments); process (inco, rst) begin if inco = '1' then CurrentPWMState <= CurrentPWMState + 1; elsif rst='1' then CurrentPWMState <= 0; end if; end process; AN <= "1110"; end Behavioral; Entity djelitelj (the counter used to divide 50MHz clock): library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity PWM is Port ( cp_in : in STD_LOGIC; inc : in STD_LOGIC; rst: in std_logic; AN : out STD_LOGIC_VECTOR (3 downto 0); segments : out STD_LOGIC_VECTOR (6 downto 0)); end PWM; architecture Behavioral of PWM is signal cp: std_logic; signal CurrentPWMState: integer range 0 to 10; signal inco: std_logic; signal temp: std_logic_vector (3 downto 0); begin --cp = 100 Hz counter: entity djelitelj generic map (CountTo => 250000) port map (cp_in, cp); debounce: entity debounce port map (inc, cp, inco); temp <= conv_std_logic_vector(CurrentPWMState, 4); ss: entity decoder7seg port map (temp, segments); process (inco, rst) begin if inco = '1' then CurrentPWMState <= CurrentPWMState + 1; elsif rst='1' then CurrentPWMState <= 0; end if; end process; AN <= "1110"; end Behavioral; Debouncing entity: library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; ENTITY debounce IS PORT(pb, clock_100Hz : IN STD_LOGIC; pb_debounced : OUT STD_LOGIC); END debounce; ARCHITECTURE a OF debounce IS SIGNAL SHIFT_PB : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN -- Debounce Button: Filters out mechanical switch bounce for around 40Ms. -- Debounce clock should be approximately 10ms process begin wait until (clock_100Hz'EVENT) AND (clock_100Hz = '1'); SHIFT_PB(2 Downto 0) <= SHIFT_PB(3 Downto 1); SHIFT_PB(3) <= NOT PB; If SHIFT_PB(3 Downto 0)="0000" THEN PB_DEBOUNCED <= '1'; ELSE PB_DEBOUNCED <= '0'; End if; end process; end a; And here is BCD to 7-segment decoder: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity decoder7seg is port ( bcd: in std_logic_vector (3 downto 0); segm: out std_logic_vector (6 downto 0)); end decoder7seg; architecture Behavioral of decoder7seg is begin with bcd select segm<= "0000001" when "0000", -- 0 "1001111" when "0001", -- 1 "0010010" when "0010", -- 2 "0000110" when "0011", -- 3 "1001100" when "0100", -- 4 "0100100" when "0101", -- 5 "0100000" when "0110", -- 6 "0001111" when "0111", -- 7 "0000000" when "1000", -- 8 "0000100" when "1001", -- 9 "1111110" when others; -- just - character end Behavioral; Does anyone see where I made my mistake(s) ? I've tried that design on Spartan-3 Started board and it isn't working ... Every time I press the push button, I get crazy (random) values. The reset button is working properly. Thanks !!!!

    Read the article

  • Key logger wont record key strokes without console

    - by Daniel Gopar
    I created a small basic key logger in C++. For some reason when I compile and run the program with the console displayed, it will record every key stroke I make in whatever program I am using such as a browser and store it in a text file. However when I make it so that it WON'T display a console window, it will not record anything and it's just a process in the background doing nothing. Here is the link to my code: http://pastebin.com/4wqQyLJ9 The function that is giving me trouble with hiding the console, is the Stealth() function. Any suggestions, tips or hints will be helpful. Thanks.

    Read the article

  • Magento - How can I move the Navigation Block to be in the right column?

    - by Hank
    I've been editing Magento's default CSS to change the look and have come to a point where I want to move around some content blocks. I've killed off the left column through CSS .col-left { display: none; } And then increased the width of the main content part to create a 'two column layout'. What I want to do now is move the navigation for the categories into the right column. I just have no idea how... How do I move content blocks around in Magento? :/

    Read the article

  • How can find out the system default currency symbol on BlackBerry?

    - by ageektrapped
    I have a need to display a currency value in my application. There doesn't seem to be an easy way to do this with the RIM API, so I'm reduced to creating my own solution (a common refrain for BlackBerry development, unfortunately) Currently I'm using the Formatter class, from javax.microedition.locale like so protected String formatResult(double result) { try { Locale l = Locale.getDefaultForSystem(); Formatter formatter = new Formatter(l.toString()); return formatter.formatCurrency(result); } catch (UnsupportedLocaleException e) { return "This fails for the default locale because BlackBerry sucks"; } } I always hit the catch block in the simulator. Since this doesn't work by default on the simulator, I'm hesitant to put it in the application. So I have two questions: Can anyone tell me if the above solution is the way to go? And how to fix it, of course. Is there a way I can retrieve the currency symbol for the current locale programmatically so I can format myself?

    Read the article

< Previous Page | 663 664 665 666 667 668 669 670 671 672 673 674  | Next Page >