Search Results

Search found 18892 results on 756 pages for 'display'.

Page 544/756 | < Previous Page | 540 541 542 543 544 545 546 547 548 549 550 551  | Next Page >

  • Jquery function calls more than one time.

    - by Harie
    I am having an aspx page in which I am calling a user control. The user control I am using a pop up to display it when a user clicks a asp:linkbutton. In that user control I am having a textbox and I am calling a Jquery Blur to do some validation. While doing so the function is calling [blur] is calling twice. I just called an alert() with the textbox value.So I can see the alert is coming twice .What I need to do to avoid the second time. I need to do it only whenever the user going out of the textbox and that also one time. $('#<%=txtCategory.ClientID %>').blur(function() { alert($(this).val()); }); This is called twice.Thanks for ur response.

    Read the article

  • converting a form from text to textarea

    - by David Cook
    I have a form created to pull PHP values into my database. I created the form with all type="text" constructions. What follows is the code that used to set up the input of data and confirmed that it is functional. <label>About Me: <input type="text" name="BIO_info"/></label> I converted the input to a textarea and adjusted some parameters for proper display. Unfortunately, it has broken the ability for the script to function. What follows is the code I wrote to convert and store from a text area input. <label for="BIO_info" style=" margin-bottom: 500px; margin-top: 2000px; ">About Me: <textarea name="BIO_info" rows="20" cols="60" style="resize: none; overflow-y: hidden;vertical-align:middle;"></textarea> <p> I would appreciate any suggestions.

    Read the article

  • Can anyone help me with this VHDL code (currently malfunctioning)?

    - by xx77aBs
    This code should be (and is) very simple, and I don't know what I am doing wrong. Here is description of what it should do: It should display a number on one 7-segment display. That number should be increased by one every time someone presses the push button. There is also reset button which sets the number to 0. That's it. Here is VHDL code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity PWM is Port ( cp_in : in STD_LOGIC; inc : in STD_LOGIC; rst: in std_logic; AN : out STD_LOGIC_VECTOR (3 downto 0); segments : out STD_LOGIC_VECTOR (6 downto 0)); end PWM; architecture Behavioral of PWM is signal cp: std_logic; signal CurrentPWMState: integer range 0 to 10; signal inco: std_logic; signal temp: std_logic_vector (3 downto 0); begin --cp = 100 Hz counter: entity djelitelj generic map (CountTo => 250000) port map (cp_in, cp); debounce: entity debounce port map (inc, cp, inco); temp <= conv_std_logic_vector(CurrentPWMState, 4); ss: entity decoder7seg port map (temp, segments); process (inco, rst) begin if inco = '1' then CurrentPWMState <= CurrentPWMState + 1; elsif rst='1' then CurrentPWMState <= 0; end if; end process; AN <= "1110"; end Behavioral; Entity djelitelj (the counter used to divide 50MHz clock): library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity PWM is Port ( cp_in : in STD_LOGIC; inc : in STD_LOGIC; rst: in std_logic; AN : out STD_LOGIC_VECTOR (3 downto 0); segments : out STD_LOGIC_VECTOR (6 downto 0)); end PWM; architecture Behavioral of PWM is signal cp: std_logic; signal CurrentPWMState: integer range 0 to 10; signal inco: std_logic; signal temp: std_logic_vector (3 downto 0); begin --cp = 100 Hz counter: entity djelitelj generic map (CountTo => 250000) port map (cp_in, cp); debounce: entity debounce port map (inc, cp, inco); temp <= conv_std_logic_vector(CurrentPWMState, 4); ss: entity decoder7seg port map (temp, segments); process (inco, rst) begin if inco = '1' then CurrentPWMState <= CurrentPWMState + 1; elsif rst='1' then CurrentPWMState <= 0; end if; end process; AN <= "1110"; end Behavioral; Debouncing entity: library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; ENTITY debounce IS PORT(pb, clock_100Hz : IN STD_LOGIC; pb_debounced : OUT STD_LOGIC); END debounce; ARCHITECTURE a OF debounce IS SIGNAL SHIFT_PB : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN -- Debounce Button: Filters out mechanical switch bounce for around 40Ms. -- Debounce clock should be approximately 10ms process begin wait until (clock_100Hz'EVENT) AND (clock_100Hz = '1'); SHIFT_PB(2 Downto 0) <= SHIFT_PB(3 Downto 1); SHIFT_PB(3) <= NOT PB; If SHIFT_PB(3 Downto 0)="0000" THEN PB_DEBOUNCED <= '1'; ELSE PB_DEBOUNCED <= '0'; End if; end process; end a; And here is BCD to 7-segment decoder: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity decoder7seg is port ( bcd: in std_logic_vector (3 downto 0); segm: out std_logic_vector (6 downto 0)); end decoder7seg; architecture Behavioral of decoder7seg is begin with bcd select segm<= "0000001" when "0000", -- 0 "1001111" when "0001", -- 1 "0010010" when "0010", -- 2 "0000110" when "0011", -- 3 "1001100" when "0100", -- 4 "0100100" when "0101", -- 5 "0100000" when "0110", -- 6 "0001111" when "0111", -- 7 "0000000" when "1000", -- 8 "0000100" when "1001", -- 9 "1111110" when others; -- just - character end Behavioral; Does anyone see where I made my mistake(s) ? I've tried that design on Spartan-3 Started board and it isn't working ... Every time I press the push button, I get crazy (random) values. The reset button is working properly. Thanks !!!!

    Read the article

  • Is there a way to inspect all controller variables at once in Rails?

    - by m.u.sheikh
    I am exploring an big controller method, with about 10 or so instance variables. Some of them are set in before_filter methods, and some others inside the method itself. I want to inspect them with puts, but dont want to write all of them out example: puts "var1: #{@var1.inspect}....var15: #{@var15.inspect}" Is there a generic method that will display all the instance variables with an @ sign set so far in the current method? If not, what is the next best way to inspect all of them at once without having to write all of them in a puts statement?

    Read the article

  • JSON - Php/SQL iPhone APP Questions/Help

    - by chance
    Hey group, first time posting here I am somewhat new to the JSON/PHP/mySQL world, but been around iPhone designing for the past few years, though this topic of conversation is a while new area I am entering. I have done JSON iPhone examples that allow me to create a UITableView and display the JSON data into the TableViewCells (CustomCells) and displays the data (NSDictionary)into UILabels The problem I am having now, is that I want an APP that displays this information from the JSON into just a couple of UILabel's on a regular UIViewController and not a UITableView any help would greatly be appreciated, the example I used and learned for JSON and UITABLE was from http://tempered.mobi/%20 I used that example from my app, but incorporated a few other things like CUSTOM cells however now when the USER selects the specific CELL I want it to load specific data from another JSON file, and cannot get it to load in a UILabel or UITextView in a UIViewController HELP :-)

    Read the article

  • Displaying same icon in 2 different sizes on c#

    - by ET
    My goal is to display the same icon twice, but each time in different size. I tried the following: FileStream fs = new FileStream("name_of_the_icon_file.ico", FileMode.Open); Icon ico = new Icon(fs, 32, 32); //create an in-memory instance of the icon, size 32x32 Icon ico2 = new Icon(fs, 16, 16); //create an in-memory instance of the icon, size 16x16 ... Graphics.DrawIcon(ico, /*some point*/); Graphics.DrawIcon(ico2, /*some other point*/); The last line throws an ArgumentException: Value does not fall within the expected range. Can some one explain me whats wrong and whats the way to do this right?

    Read the article

  • php array into mysql

    - by mckenzie
    Hello, $sql_where = ''; $exclude = '30,35,36,122,123,124,125'; if($exclude != '') { $exclude_forums = explode(',', $exclude); foreach ($exclude_forums as $id) { if ($id > 0) { $sql_where = ' AND forum_id <> ' . trim($id); } } } $sql = 'SELECT topic_title, forum_id, topic_id, topic_type, topic_last_poster_id, topic_last_poster_name, topic_last_poster_colour, topic_last_post_time FROM ' . TOPICS_TABLE . ' WHERE topic_status <> 2 AND topic_approved = 1 ' . $sql_where . ' ORDER BY topic_time DESC'; The above code i use to exclude the id of forum to be displayed on sql queries. Why doesn't it work and still display it? Any solution

    Read the article

  • mysql joining three specific tables

    - by sam lim
    Here what i would like to pull date from this three table. Table users i have three columns uid, username , data(text) Table users_order i have three columns uid, orders_id , users_email Table order_products i have three columns orders_id, product_id, product_name I would like to use product_id as the ref/search to pull the user info from those three tables. If product_id = 5 The query will display uid; username; users_email; orders_id; product_name; data (text) how would i right the sql query for this situation. Thanks,

    Read the article

  • web service client in java

    - by user622222
    I want to generate a client program using the service I am unable to display the results, how can I do so? import java.rmi.RemoteException; public class searchtry { public static void main(String[] args) throws RemoteException { SearchRequest request=new SearchRequest(); SearchRequestType1 type1=new SearchRequestType1(); query.setAppId("*********************************"); //Windows Live gave this id for using that service query.setSources(new SourceType[]{SourceType.Web}); query.setQuery("Java"); aratip.setParameters(request); SearchResponseType0 answer= client.search(type1); System.out.println(answer.toString()); }

    Read the article

  • iPhone Notifications is possible to...

    - by user331769
    Hi to all, I read more post regarding iPhone notification, and I have a simple question.... Where a device receive a notification (so I can display a message contains the message notificatio ti advise the user). I understand that message is managed by the application. The question is: ...when my application receive the notification is it possible to start it? Or in other world the application became run when receive the notification or it simple became active only to manage the message and at the end of notification management the app return to sleep? The main application windows is opened on the device ? Many thanks in advance Lukenukem Ciao

    Read the article

  • How to declare a div in @page @top-left

    - by icon911
    How do I declare that a DIV should be displayed in top-left corner of every page and not in its relative position. I have a div like: <div id=header>Document</div> and I would like to display it on every page in top left corner using css like: @page { size: 8.5in 11in; margin: 0.25in; border: thin solid black; padding: 1em; @top-left { content: ???? ; } } Thank you.

    Read the article

  • Help with PHP MySQL join

    - by kester martinez
    Please help me to understand proper join syntax. I have table named inventory which has: trans_id trans_items items -> item_id trans_user employees -> person_id trans_date trans_comment trans_inventory As you can see above, trans_items is a foreign key in items table, and trans_user is a foreign key in employees table. Now what I want to do is to display in HTML the inventory table, but instead of displaying the item id, I want the ITEM NAME to be displayed. Here is what I have done. Please note I'm using CodeIgniter. public function getData(array $inputs) { $this->db->select('trans_items, trans_user, trans_date, trans_inventory, trans_comment'); $this->db->from('inventory'); $this->db->order_by('trans_date desc'); return $this->db->get()->result_array(); }

    Read the article

  • Why isn't this simple program working?

    - by user1445478
    I'm writing a very basic program that aims for the text view to display the phrase "Hello" after a button is pressed on the screen. However, I can't get this program to work; every time I run it, it says that the application has stopped unexpectedly. This is the program I wrote: public class EtudeActivityActivity extends Activity{ TextView tvResponse; @Override public void onCreate(Bundle savedInstanceState) { super.onCreate(savedInstanceState); setContentView(R.layout.main); final TextView tvResponse = (TextView) findViewById (R.id.tvResponse); } public void updateTV(View v) { tvResponse.setText("Hello"); } } Also, I inserted an android:onClick = "updateTV" into my main.xml file for the button. Thanks for any help!

    Read the article

  • Drupal, Lightbox2: how can I disable the autoloading of css and javascript on triggering ?

    - by Patrick
    is there any way to disable the tag in the lightbox modal in drupal ? I've just realized it loads again all javascripts and css files of my page, and it is quite annoying, since it is not even an iFrame.. it is modal version.. and I would like to re-use what I've already loaded in my page for it. See pictures: http://dl.dropbox.com/u/72686/lightbox1.png http://dl.dropbox.com/u/72686/lightbox2.png I guess this code is wrong. I should load the node differently: " rel="lightmodal" class="LightLink" style="display:none;" title="" thanks

    Read the article

  • Application crashes when popViewControllerAnimated is called while scrolling

    - by Aldrich
    In one screen I have a custom toolbar with a "close" button in it, and a UIScrollView. In the screen that preceded this one I had pushed the current screen into the navigation stack. I had also disabled the navigation toolbar for a larger display area and so the close button would instead be used to call: - (void)doClose { [self.navigationController popViewControllerAnimated:YES]; } Now here's the problem: the application crashes when the close button is pressed, while the UIScrollView is moving. Here's the debugger stack trace: 0 __forwarding__ 1 __forwarding_prep_0___ 2 -[UIToolbarButton setHighlighted:] 3 -[UIControl(Static) _unhighlight] 4 __NSFireDelayedPerform ... and I do have the following line in the debugger console: *** -[CALayer setOpacity:]: message sent to deallocated instance 0x718d250 (sometimes setOpacity would be setNeedsDisplay or something related) There won't be any crashes while the scrollview is at rest. What is happening?

    Read the article

  • Implementing a client for ActiveMQ events

    - by recipriversexclusion
    I can listen to events from a certain topic in an ActiveMQ server using a simple asynchronous listener and print the incoming events to the console (code to that actually comes as an example in the activemq-cpp library). I would like to create clients on other machines that will listen to these events and update their displays. My question is: how to best go about doing this? Are there any Ajax examples you can point me that implement similar functionality? Or is there another technology (comet?) that is better to use for this scenario? How can I display the events in teh browser window as they are received by the client, should I use JQuery?

    Read the article

  • Rendering field data as a link in Ruby on Rails...

    - by bgadoci
    Ok, I think this is probably an easy question but for the life of my I can't figure it out. I have created a table called ugtags and in that table I have two columns (beyond the basics), 'name' and 'link'. I am trying to allow a user to add a link to a page. Ideally they would enter the link title (name) and the url (link) and in the view it would display the title as a link to the url that was entered in the link column. I there a way to do it by simply affecting the <%= link_to h(ugtag.name) %> code?

    Read the article

  • Java. Writing a matrix in a file using column information. ( matrix transposition )

    - by Dmitry
    Hello, everybody! I have a file in which a matrix is stored. This file has a RandomAccessFile type. This matrix is stored by columns. I mean that in an i-th row of this matrix an i-th column (of a real matrix) is stored. There is an example: i-th row: 1 2 3 4 (in the file). That means that the real matrix has an i-th column: (1 2 3 4)(is transposed). I need to save this matrix in a natural way (by rows) in a new file, which I will then open with FileReader and display with TextArea. Do you know, how to do that? If so, please help =)

    Read the article

  • mysql_fetch_array() not displaying all results

    - by user1666995
    I have a database with a calendar table (each row represents one day) with 4 years of rows (2012, 2013, 2014, 2015). I use the column name calyear for the year. I use the following code to find values for distinct years then display it: $year = mysql_query("SELECT DISTINCT calyear FROM calendar"); while($yeararray = mysql_fetch_array($year)) { echo($yeararray['calyear']."<br />"); } The problem is it only displays the years 2013, 2014, 2015 even though when I use echo(mysql_num_rows($year); it displays the value 4 which I take to mean all 4 years are there. I'm not quite sure where I'm going wrong with this.

    Read the article

  • Design guidelines for cache mechanism

    - by Delashmate
    Hi All, I got assignment to write design for cache mechanism (this is work assignment, not homework), This is my first time writing a design document, Our program display images for doctors, and we want to reduce the parsing time of the images So we want to save the parsed data in advance (in files or inside database) Currently I have several design key ideas: Handle locks - each shared data structure should be handled, also files Test - add test to verify the data from the cache is equal to the data from the files To decouple the connection to the database- not to call directly to the database Cleanup mechanisem- to delete old files if the cahce directory exceed configurable threshold Support config file Support performance tool in the feature I will also add class diagram, data flow charts, and workflow What do you think I should add to the key ideas? Do you know good link to atricales about design? Thanks in advance, Dan

    Read the article

  • Problem with DataGrid_CellFormatting event to set default value

    - by Royson
    Hi, I have created an applicationto display list of files. it also provide user interface for adding new column to a gridview. DataGridViewTextBoxColumn txtBoxColumn = new DataGridViewTextBoxColumn(); txtBoxColumn.Name = columnName; txtBoxColumn.HeaderText = columnName; g_dataGridView.Columns.Add(txtBoxColumn); User will create some custom type column therefore i am adding column directly to grid. I am also able to set its default value before adding for this i am using DataGrid_CellFormatting(object sender, DataGridViewCellFormattingEventArgs e) { if (colIndexWithDefaultValue.Count > 0) { if (colIndexWithDefaultValue.Contains(e.ColumnIndex)) { g_dataGridView.Rows[e.RowIndex].Cells[e.ColumnIndex].Value = colIndexWithDefaultValue[e.ColumnIndex]; } } } where colIndexWithDefaultValue is list of columns with its default value. It is able to show newly created column with default value. But values are getting set for currently visible rows. To set value for all rows i have to do scrolling. So, how do i overcome this problem...

    Read the article

  • PHP fetch all Twitter Followers and compare them to friends

    - by ArneRie
    Hi, iam looking for performant way to do the following: User login Fetch all Friends from Twitter Fetch all Followers from Twitter Display all Friends wich aren't Followers The Problem: How to do this in a performant way? An user can have up to 2 Million Friends or Followers. In the moment im Storing both inside an sqllite table an compare them through an loop. When the user comes back the table is cleared and process starts again. This works finde on 100 - 1000 Friends.. but will be tricky with 500000 Friends. I cant cache the lists because they can change every moment.. Does someone knows a good way to handle such big amount of data?

    Read the article

  • Override onDraw to change how the drawing occurs (Android)

    - by Casebash
    I want to change how my UI elements display, so I am overriding onDraw. The following code allows me to change a View to be drawn using PorterDuff.Mode.DARKEN. Unfortunately, this method involves creating a bitmap the size of the entire screen, then drawing to it then drawing this large bitmap the main screen again, for each UI element. This isn't at all efficient. Is it possible to achieve this in a more effecient way? @Override protected void onDraw(Canvas canvas) { //TODO: Reduce the burden from multiple drawing Bitmap bitmap=Bitmap.createBitmap(canvas.getWidth(), canvas.getHeight(), Config.ARGB_8888); Log.e("tmp",canvas.getClipBounds().toString()); Canvas offscreen=new Canvas(bitmap); super.onDraw(offscreen); //Then draw onscreen Paint p=new Paint(); p.setXfermode(new PorterDuffXfermode(PorterDuff.Mode.DARKEN)); canvas.drawBitmap(bitmap, 0, 0, p); }

    Read the article

  • Stacking Dialogs in Android

    - by ChaimKut
    Is there a way to control the relative stacking of Dialogs produced by your own Activity? For instance, there are some more important Dialogs which I would like to ensure are on top and if another Dialog wants to pop up I would want it to pop under the important Dialogs. Example: I want to present to the user an important dialog, Dialog A. The activity realizes that there is a dialog, Dialog B, of lesser importance to display to the user. Is it possible to specify Dialog B to be under Dialog A so that when Dialog A is cleared, Dialog B will be seen by the user? I know that the onDismiss interface exists, but this necessarily ties Dialog A and Dialog B together. I want the Dialogs to be independent and would prefer to use a higher level abstraction like the window stack responsible for ordering the Dialogs.

    Read the article

  • jquery specific show buttons on hover

    - by bob
    I have an application creating a bunch of divs through a loop. Each div has the class "product" so it looks like <div class="product"> !.....stuff here ....! <div class="show_on_hover">...buttons here... </div> </div> so there are about 12 of these same divs per page. I would like to hover over a specific one and show the specific "show_on_hover" div which is initially set to display:none. $('.product').hover(function() { $(.show_on_hover).show(); }, function () { $(.show_on_hover).hide(); } ); That is what I have so far but it will show ALL of the .show_on_hovers on the page so I am wondering how to get only the specific one you have moused over to show. This effect is seen on youtube when you mouseover any of the comments, and some comment tools pop up. Thanks!

    Read the article

< Previous Page | 540 541 542 543 544 545 546 547 548 549 550 551  | Next Page >